Publications

2020

Thierry Simon Lejla Batina, Joan Daemen Vincent Grosso Pedro Maat Costa Massolino Kostas Papagiannopoulos Francesco Regazzoni Niels Samwel

Friet: an authenticated encryption scheme with built in fault detection Conference

Eurocrypt 2020, 2020.

BibTeX

de la Alberto Ortiz RafaelZamacola, Alfonso Rodríguez Andrés Otero Eduardo Torre

Automated Toolchain for Enhanced Productivity in Reconfigurable Multi-Accelerator Systems Conference

16th International Symposium on Applied Reconfigurable Computing, 2020.

BibTeX

Ognjen Glamocanin Louis Coulon, Francesco Regazzoni Mirjana Stojilovic

Built-in self-evaluation of first order power side channel leakage for FPGA Conference

28th ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2020.

BibTeX

2019

Vuotto, Simone; Narizzano, Massimo; Pulina, Luca; Tacchella, Armando

Poster: Automatic Consistency Checking of Requirements with ReqV Conference

2019 IEEE International Conference on Software Testing, Verification and Validation Workshops (ICSTW), 2019.

BibTeX

Vuotto, Simone; Narizzano, Massimo; Pulina, Luca; Tacchella, Armando

Automata Based Test Generation with SpecPro Conference

2019 IEEE/ACM International Workshop on Requirements Engineering and Testing (RET), 2019.

BibTeX

Fanni Tiziana; Madronal, Daniel; Rubattu Claudio; Sau Carlo; Palumbo Francesca; Juarez Eduardo; Pelcat Maxime; Sanz Cesar; Raffo Luigi

Run-time Performance Monitoring of Heterogenous Hw/Sw Platforms Using PAPI Conference

VDE VERLAG, 2019, ISBN: 978-3-8007-5045-0.

Links | BibTeX

Bit-Monnot, Arthur; Leofante, Francesco; Pulina, Luca; Abraham, Erika; Tacchella, Armando

SMT-based Planning for Robots in Smart Factories Conference

Proceedings of IEA-AIE 2019, 2019.

Abstract | Links | BibTeX

Bit-Monnot Arthur; Pulina, Luca; Tacchella Armando

Cyber-Physical Planning: Deliberation for Hybrid Systems with a Continuous Numeric State Conference

Proceedings of ICAPS 2019, 2019.

Abstract | BibTeX

Zamacola, Rafael; Martínez, Alberto García; Mora, Javier; Otero, Andrés; de Torre, Eduardo La

Automated Tool and Runtime Support for Fine-Grain Reconfiguration in Highly Flexible Reconfigurable Systems Conference

Proceedings of FCCM 2019, 2019.

Abstract | BibTeX

de la Suriano Florian Arrestier, Alfonso Rodriguez Julien Heulot Karol Desnos Maxime Pelcat Eduardo Torre

DAMHSE: Programming heterogeneous MPSoCs with hardware acceleration using dataflow-based design space exploration and automated rapid prototyping Journal Article

Microprocessors and Microsystems, 2019.

BibTeX

Raquel Lazcano Daniel Madroñal, Eduardo Juarez Philippe Clauss

Runtime Multi-versioning and Specialization inside a Memoized Speculative Loop Optimizer Conference

International Conference on CompilerConstruction 2020, 2019.

BibTeX

Vuotto, Simone

Automata-Based Generation of Test Cases for Reactive Systems Conference

Cyber-Physical systems PhD and Post-doc workshop, 2019.

Links | BibTeX

Saman Payvar Jani Boutellier, Antoine Morvan Claudio Rubattu ; Pelcat, Maxime

Extending Architecture Modeling for Signal Processing towards GPUs Conference

IEEE Xplore Digital Library, 2019.

BibTeX

Sujit Rokka Chhetri Anomadarshi Barua, Sina Faezi Francesco Regazzoni Arquimedes Canedo ; Faruque, Mohammad Abdullah Al

Tool of Spies: leaking your Ipby altering the 3D printer compiler Journal Article Forthcoming

IEEE Transactions on Dependable and Secure Computing, Forthcoming.

BibTeX

Honorat Alexandre; Desnos, Karol; Pelcat Maxime; Nezan Jean-François

Modeling Nested For Loops with Explicit Parallelism in Synchronous Dataflow Graphs Conference

Lecture Notes in Computer Science book series (LNCS, volume 11733), 2019.

BibTeX

de la Luca Fanni Leonardo Suriano, Claudio Rubattu Pablo Sanchez Eduardo Torre ; Palumbo, Francesca

A Dataflow Implementation of Inverse Kinematics on Reconfigurable Heterogeneous MPSoC Conference

Proceedings of the Cyber-Physical Systems PhD Workshop 2019, 2019.

BibTeX

Madroñal, Daniel; Fanni, Tiziana

Run-time Performance Monitoring of Hardware Accelerators: POSTER Conference

16th ACM International Conference on Computing Frontiers, 2019.

BibTeX

Desnos, Karol; Palumbo, Francesca

Dataflow Modeling for Reconfigurable Signal Processing Systems Book Chapter

Handbook of Signal Processing Systems, 3rd Edition, 2019.

BibTeX

Masin, Michael ; Palumbo, Francesca ; Adriaanse, J; Myrhaug, Hans ; Regazzoni, Francesco ; Sanchez, M; Zedda, Katiuscia

Elicitation of Technical Requirements in Large Research Projects: the CERBERO approach Conference

34th ACM/SIGAPP Symposium On Applied Computing, 2019.

BibTeX

Li, Lin; Sau, Carlo; Fanni, Tiziana; Li, Jingui; Viitanen, Timo; Christophec, Francois; Palumbo, Francesca; Raffo, Luigi; Huttunen, Heikki; Takala, Jarmo; Bhattacharyya, Shuvra S

An Integrated Hardware/Software Design Methodology for Signal Processing Systems Journal Article Forthcoming

Journal of Systems Architecture, Forthcoming.

Links | BibTeX

Madroñal, Daniel; Fanni, Tiziana

Run-time Performance Monitoring of Hardware Accelerators: POSTER Inproceedings

Proceedings of the 16th ACM International Conference on Computing Frontiers, pp. 289–291, ACM, Alghero, Italy, 2019, ISBN: 978-1-4503-6685-4.

Links | BibTeX

Palumbo, Francesca; Fanni, Tiziana; Sau, Carlo; Pulina, Luca; Raffo, Luigi; Masin, Michael; Shindin, Evgeny; de Rojas, Pablo Sanchez; Desnos, Karol; Pelcat, Maxime; Rodríguez, Alfonso; Juárez, Eduardo; Regazzoni, Francesco; Meloni, Giuseppe; Zedda, Katiuscia; Myrhaug, Hans; Kaliciak, Leszek; Andriaanse, Joost; de Filho, Julio Olivieria; Muñoz, Pablo; Toffetti, Antonella

CERBERO: Cross-layer modEl-based fRamework for multi-oBjective dEsign of Reconfigurable Systems in unceRtain hybRid envirOnments: Invited Paper: CERBERO Teams from UniSS, UniCA, IBM Research, TASE, INSA-Rennes, UPM, USI, Abinsula, AmbieSense, TNO, S&T, CRF Inproceedings

Proceedings of the 16th ACM International Conference on Computing Frontiers, pp. 320–325, ACM, Alghero, Italy, 2019, ISBN: 978-1-4503-6685-4.

Links | BibTeX

Madroñal, D; Arrestier, F; Sancho, J; Morvan, A; Lazcano, R; Desnos, K; Salvador, R; Menard, D; Juarez, E; Sanz, C

PAPIFY: Automatic Instrumentation and Monitoring of Dynamic Dataflow Applications Based on PAPI Journal Article

IEEE Access, 7 , pp. 111801-111812, 2019, ISSN: 2169-3536.

Links | BibTeX

Palumbo, Francesca; Fanni, Tiziana; Sau, Carlo; Rodríguez, Alfonso; ñ, Daniel Madro; Desnos, Karol; Morvan, Antoine; Pelcat, Maxime; Rubattu, Claudio; Lazcano, Raquel; Raffo, Luigi; de la Torre, Eduardo; Juárez, Eduardo; Sanz, César; de Rojas, Pablo Sánchez

Hardware/Software Self-adaptation in CPS: The CERBERO Project Approach Inproceedings

Pnevmatikatos, Dionisios N; Pelcat, Maxime; Jung, Matthias (Ed.): Embedded Computer Systems: Architectures, Modeling, and Simulation, pp. 416–428, Springer International Publishing, Cham, 2019, ISBN: 978-3-030-27562-4.

Abstract | BibTeX

Suriano, Leonardo; Arrestier, Florian; Rodríguez, Alfonso; Heulot, Julien; Desnos, Karol; Pelcat, Maxime; de la Torre, Eduardo

DAMHSE: Programming heterogeneous MPSoCs with hardware acceleration using dataflow-based design space exploration and automated rapid prototyping Journal Article

Microprocessors and Microsystems, 71 , pp. 102882, 2019, ISSN: 0141-9331.

Abstract | Links | BibTeX

2018

Rubattu, C; Palumbo, F; Sau, C; Salvador, R; Serot, J; Desnos, K; Raffo, L; Pelcat, M

Dataflow-Functional High-Level Synthesis for Coarse-Grained Reconfigurable Accelerators Journal Article

IEEE Embedded Systems Letters, 2018, ISSN: 1943-0663.

Abstract | Links | BibTeX

Zamacola, Rafael; Martínez, Alberto García; Mora, Javier; Otero, Andrés; de Torre, Eduardo La

IMPRESS: Automated Tool for the Implementation of Highly Flexible Partial Reconfigurable Systems with Xilinx Vivado Conference

Proceedings of RConFig 2018, 2018.

Abstract | BibTeX

Fanni, Tiziana; Rodríguez, Alfonso; Sau, Carlo; Suriano, Leonardo; Palumbo, Francesca; Raffo, Luigi; de la Torre, Eduardo

Multi-Grain Reconfiguration for Advanced Adaptivity in Cyber- Physical Systems Conference

International Conference on ReConFigurable Computing and FPGAs (ReConFig’18), IEEE, 2018.

BibTeX

Rodríguez, Alfonso; Fanni, Tiziana

DEMO: Multi-Grain Adaptivity in Cyber-Physical Systems Conference

Special Session on Energy Efficient Cyber Physical Systems held at the 30th International Conference onMicroelectronics (ICM’18), 2018.

BibTeX

L., Kaliciak; H., Myrhaug; A., Goker

Searching of Self-similar Spaces Conference

Proceedings of the FTC 2018 - Future Technologies Conference 2018, Advances in Intelligent Systems and Computing, 2018.

Links | BibTeX

L., Kaliciak; H., Myrhaug; Goker, A

On Search Spaces of Fractal Nature Conference

4th International Conference on Fuzzy Systems and Data Mining, (FSDM 2018), 2018.

BibTeX

Suriano, Leonardo; Madroñal, Daniel; Rodríguez, Alfonso; Juárez, Eduardo; Sanz, César; de la Torre, Eduardo

A Unified Hardware/Software Monitoring Method for Reconfigurable Computing Architectures using PAPI Conference

2018 13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), IEEE, 2018.

Links | BibTeX

Arrestier, Florian; Desnos, Karol; Pelcat, Maxime; Heulot, Julien; Juarez, Eduardo; Menard, Daniel

Delays and States in Dataflow Models of Computation Conference

Proceedings of the SAMOS 2018 Conference, 2018.

Abstract | BibTeX

Madroñal, Daniel; Morvan, Antoine; Lazcano, Raquel; Salvador, Rubén; Desnos, Karol; Juárez, Eduardo; Sanz, César

Automatic Instrumentation of Dataflow Applications using PAPI Conference

15th ACM International Conference on Computing Frontiers (CF'18), ACM, 2018.

Abstract | Links | BibTeX

Narizzano, Massimo; Pulina, Luca; Tacchella, Armando; Simone, Vuotto

Consistency of property specification patterns with boolean and constrained numerical signals Conference

NASA Formal Methods Symposium, 2018, ISBN: 978-3-319-77935-5.

Abstract | BibTeX

Rubattu, Claudio

Dataflow-based Adaptation Framework with Coarse-Grained Reconfigurable Accelerators Conference

CEUR Workshop Proceedings - Proceedings of the Cyber-Physical Systems PhD & Postdoc Workshop 2018, 2018.

Abstract | Links | BibTeX

Vuotto, Simone

Consistency Checking of Functional Requirements Conference

Proceedings of the Doctoral Consortium of Formal Methods 2018, 2018.

Links | BibTeX

Bit-Monnot, Arthur

Goal Based Deliberation of Cyber-Physical Systems Conference

Cyber-Physical systems PhD and Post-doc workshop, 2018.

Links | BibTeX

Vuotto, Simone

Requirements-driven design of cyber-physical systems Conference

Cyber-Physical systems PhD and Post-doc workshop, 2018.

Links | BibTeX

Pilato, Christian; Carloni, Luca P

DarkMem: Fine-grained power management of local memories for accelerators in embedded systems Conference

2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), IEEE, 2018, ISBN: 978-1-5090-0602-1.

Abstract | Links | BibTeX

2017

Rubattu, Claudio; Palumbo, Francesca; Pelcat, Maxime

Adaptive Software-Augmented Hardware Reconfiguration with Dataflow Design Automation Conference

2017 International Conference on ReConFigurable Computing and FPGAs (ReConFig), IEEE, 2017, ISBN: 978-1-5386-3797-5.

Abstract | Links | BibTeX

Pelcat, Maxime; Mercat, Alexandre; Desnos, Karol; Maggiani, Luca; Liu, Yanzhou; Heulot, Julien; Nezan, Jean-François; Hamidouche, Wassim; Ménard, Daniel; Bhattacharyya, Shuvra S

Reproducible Evaluation of System Efficiency with a Model of Architecture: From Theory to Practice Journal Article

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2017, ISSN: 1937-4151.

Abstract | Links | BibTeX

Pilato, Christian; Garg, Siddharth; Wu, Kaijie; Karri, Ramesh; Regazzoni, Francesco

Securing Hardware Accelerators: a New Challenge for High-Level Synthesis (Perspective Paper) Journal Article

IEEE Embedded Systems Letters, 2017, ISBN: 1943-0671.

Abstract | Links | BibTeX

Palumbo, Francesca; Sau, Carlo; Fanni, Tiziana; Raffo, Luigi

Challenging CPS Trade-Off Adaptivity with Coarse-Grained Reconfiguration Conference

Applications in Electronics Pervading Industry, Environment and Society (ApplePies 2017), Lecture Notes in Electrical Engineering, 512 , Springer, 2017, ISBN: 978-3-319-93082-4.

Abstract | Links | BibTeX

Suriano, Leonardo; Rodríguez, Alfonso; Desnos, Karol; Pelcat, Maxime; de la Torre, Eduardo

Analysis of a Heterogeneous Multi-Core, Multi-HW-Accelerator-Based System Designed Using PREESM and SDSoC Conference

2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), IEEE, 2017, ISBN: 978-1-5386-3344-1.

Abstract | Links | BibTeX

Kaliciak, Leszek; Myrhaug, Hans; Goker, Ayse

Unified Hybrid Image Retrieval System with Continuous Relevance Feedback Conference

1 , IIIS, 2017, ISBN: 978-1-941763-59-9.

Abstract | Links | BibTeX

Kaliciak, Leszek; Myrhaug, Hans; Goker, Ayse

Content-Based Image Retrieval in Augmented Reality Conference

615 , Advances in Intelligent Systems and Computing series Springer International Publishing AG, 2017, ISBN: 9783319611174.

Abstract | Links | BibTeX

Sau, Carlo; Palumbo, Francesca; Pelcat, Maxime; Heulot, Julien; Nogues, Erwan; Menard, Daniel; Meloni, Paolo; Raffo, Luigi

Challenging the Best HEVC Fractional Pixel FPGA Interpolators with Reconfigurable and Multi-frequency Approximate Computing Journal Article

IEEE Embedded Systems Letters, 9 (3), pp. 65-68, 2017, ISSN: 1943-0663.

Abstract | Links | BibTeX

Masin, M; Palumbo, F; Myrhaug, H; de Filho, Oliveira J A; Pastena, M; Pelcat, M; Raffo, L; Regazzoni, F; Sanchez, A A; Toffetti, A; de la Torre, E; Zedda, K

Cross-layer Design of Reconfigurable Cyber-Physical Systems Conference

Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017, IEEE, 2017, ISSN: 1558-1101.

Abstract | Links | BibTeX

Pulina, Luca; Tacchella, Armando

More adaptive does not imply less safe (with formal verification) Conference

Hardware and Software: Verification and Testing -13th International Haifa Verification Conference, Springer, Cham, 2017.

Links | BibTeX

 

Other Non-Indexed Technical Presentations

2018

Palumbo, Francesca; Rubattu, Claudio; Sau, Carlo; Raffo, Luigi; Pelcat, Maxime

Platform-Agnostic Dataflow-to-Hardware Design Flow for Reconfigurable Systems Miscellaneous

2018.

Links | BibTeX

Sau, Carlo; Fanni, Tiziana; Raffo, Luigi; Palumbo, Francesca

Self-adaptation of Cyber-Physical Systems Miscellaneous

2018.

Links | BibTeX

2017

Palumbo, Francesca; Rubattu, Claudio; Sau, Carlo; Fanni, Tiziana; Meloni, Paolo; Raffo, Luigi

Dynamic Trade-Off Management for CPS Miscellaneous

2017.

Abstract | Links | BibTeX

Palumbo, Francesca; Sau, Carlo; Meloni, Paolo; Raffo, Luigi

Coarse-Grained Reconfiguration: Run-time Adaptivityin Cyber Physical Systems Miscellaneous

2017.

Abstract | Links | BibTeX